ThinkChat🤖让你学习和工作更高效,注册即送10W Token,即刻开启你的AI之旅 广告
# 可实现的运算 ![](https://img.kancloud.cn/ac/f0/acf02dd158a0267a34028c63612d8305_1344x966.png) # 本次使用单精度浮点数除法器 ![](https://img.kancloud.cn/35/b1/35b1f18c2b8a923e5b234c01fd767f75_1368x993.png) testbeach文件: ```verilog `timescale 1ns / 1ps module tb( ); reg aclk ; reg w_valid; reg [31:0] a , b; wire s_axis_a_tready, s_axis_b_tready , y_valid; wire [31:0] y ; localparam N = 20; initial begin aclk = 0; forever aclk = #(N/2) ~aclk; end initial begin a=$random; b=$random; w_valid = 0; #N ; repeat( 100) @(posedge aclk) begin w_valid = 1; a = $random; b=$random; end end floating_point_0 u1 ( .aclk(aclk), .s_axis_a_tvalid( w_valid), //.s_axis_a_tready( s_axis_a_tready), .s_axis_a_tdata( a), // .s_axis_b_tvalid( w_valid), //.s_axis_b_tready( s_axis_b_tready), .s_axis_b_tdata( b), // .m_axis_result_tvalid( y_valid), //.m_axis_result_tready( ), .m_axis_result_tdata( y) ); endmodule ``` ![](https://img.kancloud.cn/40/1f/401f4bcc914024bb83ddecfcfd18ad2f_1518x450.png)